Why OS-VVM?

spacer Standard VHDL has all the features necessary to code randomization of stimulus and functional coverage โ€“ both very important while verifying larger, system-level designs. The problem is that those features are quite advanced and require high coding skills. Thatโ€™s why Open Source VHDL Verification Methodology is so important. It creates a couple of easily accessible VHDL packages that hide quite arcane implementation details from the average user, making generation of random stimulus and intelligent functional coverage not only easy, but also pleasurable task.

 

Benefits of OS-VVMspacer

  • Based on VHDL 2008, can work with VHDL 2002
  • Provides advanced capabilities for random value generation and functional coverage
  • Randomizes values with uniform or weighted distributions
  • Also supports favor_small, favor_big, Gaussian and Poisson distribution
  • Works perfectly with Transaction Level Modeling
  • Enables intelligent randomization based on the functional coverage holes (bins that are not covered)
  • Allows definition of normal, illegal and ignore bins for regular coverage and cross-coverage
  • Equipped with flexible coverage reporting procedures


OS-VVM Community

OS-VVM maintained at this website consists of volunteer work. You can use this methodology, but you can also help make it better.

Help us create thriving community by posting in our Forums.

If you have really interesting ideas to share, we may ask you to write in our Blog!