spacer
World Class Verilog & SystemVerilog Training

HOME
      Expert
      Verilog Training
      Expert
      Verilog Trainers
      Papers
      Book Reviews
      About
      Cliff Cummings
      Cliff's future
      presentations

SystemVerilog & OVM/UVM Verification Training - March 19-23, 2012 - Santa Clara, CA
Additional Dates: May 14-18, June 25-29, October 15-19
Sunburst Design
Displaced Worker Training


*** Sunburst Design specializes in Verilog & SystemVerilog onsite training ***
Contact us to request details and discounted pricing for onsite training

Learn when Open Enrollment training courses are being offered - Become a fan on facebook
spacer

Email Cliff Cummings to request additional Open Enrollment Training in 2011
Beaverton, OR / San Jose, CA / OTHER



   Sunburst Design offers World Class   
SystemVerilog Training
Cliff Cummings is the only Verilog & SystemVerilog Trainer who helped develop every
IEEE & Accellera Verilog, Verilog Synthesis and SystemVerilog Standard

All Sunburst Design training courses were developed by
Renown Verilog & SystemVerilog Guru, Cliff Cummings

Sunburst Design - Advanced SystemVerilog for Design & Verification

4-day course / 1,000+ page binder / includes papers & slides from multiple award winning Verilog & SystemVerilog presetations
No other Advanced SystemVerilog course measures up to the content of this course!

Sunburst Design - Advanced SystemVerilog for Verification

3-day course / 1,000+ page binder / includes papers & slides from multiple award winning Verilog & SystemVerilog presetations
Contains all of the same material as the 4-day SystemVerilog training guide but the last three sections of advanced design material is not lectured (but included for reference). More than 1,000 pages of material, compiled by renown SystemVerilog Guru, Cliff Cummings.
*NEW* 4-day version with SystemVerilog OVM/UVM Training now available!

Sunburst Design - Advanced SystemVerilog for Design

3-day course / 800+ page binder / includes papers & slides from multiple award winning Verilog & SystemVerilog presetations
No other Advanced SystemVerilog Design course measures up to the content of this course!
Go to the papers web page to download multiple Verilog & SystemVerilog papers



  IEEE Std 1364  
  IEEE Std 1364.1  
   Sunburst Design offers World Class   
Advanced & Expert Verilog Training
Includes material's from many of Cliff's award-winning advanced Verilog
and advanced design presentations

Sunburst Design - Expert Verilog-2001 for Synthesis & Verification

4-day course / 1,000+ page binder / includes papers & slides from multiple award winning presetations. There is no other Advanced Verilog course that measures up to the content of this course!

Sunburst Design - Comprehensive Verilog-2001 Design & Best Coding Practices

4-day course / 700+ page binder / includes award winning presetations on nonblocking assignments and FSM design



  IEEE Std 1364  
   Sunburst Design offers Accelerated   
Introductory Verilog Training
Includes Cliff's best known coding practices

Sunburst Design - Accelerated Introduction to Verilog-2001 & Best Known Coding Practices

1-day course / 300+ page binder / Fast-paced, intense 1-day accelerated Verilog training for engineers who need a quick introduction to the language before taking Advanced Verilog Training or SystemVerilog training.
Ideal for: (1) rapid review, (2) self-taught Verilog engineers, and (3) VHDL engineers, who plan to attend Sunburst Design Advanced Verilog or SystemVerilog training

Sunburst Design - Introduction to Verilog-2001 & Best Coding Practices

2-day course / 400+ page binder / rapid introduction to Verilog-2001 syntax and usage - Includes all of the material from the 1-day Accelerated & Best Coding Practices Class plus more.


  • All courses taught by Cliff's hand-picked and personally trainined team of Verilog experts

  • All courses include materials from Cliff's award winning presentations


To request more information about Sunburst Design Training, E-mail: cliffc@sunburst-design.com

Sunburst Design training courses are marketed directly by Cliff Cummings of Sunburst Design, Inc.


HOME
      Expert
      Verilog Training
      Expert
      Verilog Trainers
      Papers
      Book Reviews
      About
      Cliff Cummings
      Cliff's future
      presentations
gipoco.com is neither affiliated with the authors of this page nor responsible for its contents. This is a safe-cache copy of the original web site.